Warning: "continue" targeting switch is equivalent to "break". Did you mean to use "continue 2"? in /nfs/bronfs/uwfs/hw00/d22/dasc/WikiRoiPac/inc/parser/handler.php on line 1376

Warning: preg_match(): Compilation failed: invalid range in character class at offset 3106 in /nfs/bronfs/uwfs/hw00/d22/dasc/WikiRoiPac/inc/parser/lexer.php on line 118
A PCRE internal error occured. This might be caused by a faulty plugin

Warning: Cannot modify header information - headers already sent by (output started at /nfs/bronfs/uwfs/hw00/d22/dasc/WikiRoiPac/inc/parser/handler.php:1376) in /nfs/bronfs/uwfs/hw00/d22/dasc/WikiRoiPac/inc/actions.php on line 216
trouble · WikiRoiPac

====== Troubleshooting ====== Processing problems typically arise ~25% of the time. The scripts are not foolproof and are designed to choke if something doesn't work properly. The user must be prepared to troubleshoot any problem and find a solution. The first step in troubleshooting a problem is to look at the two log files (''log'' and ''log1'') in the directory ''INT''. Error messages and warnings found in the log files will tell you where the processing failed. The next step is to look at the binary image files starting with the most recent. You can then compare these files to the corresponding images from a successful processing run to isolate the problem. See [[output|Output Files]] for some examples of what these files are supposed to look like. Below is a list of solutions to commonly encountered problems; we encourage users to add scenarios from their own experience to this list. ==== Bad Output ==== * [[badseed|Final geo...unw file is blank]] ==== Error Messages ==== * [[faa|"Too few points left after culling: 2 left"]] * "p must be at least 2 in moment"

trouble.txt · Last modified: 2007/03/19 19:29 by bphilibo